找软件用软件,到126g软件园!
您的位置:126g软件园>电脑软件>行业软件>

ModelSim仿真软件 v2020.4 激活版

ModelSim SE 2020下载

ModelSim仿真软件 v2020.4 激活版

  • 大  小:726.3MB
  • 官  网:HomePage
  • 评  分:
  • 类  型:国产软件
  • 环  境:WinAll
  • 语  言:简体中文
  • 分  类:行业软件
  • 时  间:2021-04-21
本地下载
安全无毒无插件
基本简介

ModelSim SE 2020是一款优秀的HDL语言仿真模拟软件,该软件将业界领先的本地SKS性能与最佳的集成调试和分析环境相结合,并提供直接优化的编译技术、Tcl/Tk技术和单一内核仿真,是ASIC和FPGA设计的首选模拟器,而且ModelSim SE还为用户开发新的设备提供模拟分析方案,并提供资源库,十分强大。

ModelSim SE全面支持VHDL和Verilog语言的IEEE标准,同时还带来了从创建工作库到编译设计,以及加载仿真器到运行仿真和调试结果等完整的仿真过程,以此全面满足程序员们的使用需求。

ModelSim SE 2020

ModelSim SE 2020特色

1、统一的混合语言仿真引擎,易于使用和性能

2、native支持的Verilog,SystemVerilog的设计,VHDL和SystemC对复杂的设计环境的有效核查

3、快速调试时间,易于使用的多语言调试环境

4、先进的代码覆盖率和分析工具,可快速缩短覆盖范围

5、提供了交互式调试和后期模拟调试,因此可使用相同的调试环境

6、强大的波形比较功能,可轻松分析差异和错误

7、统一的覆盖数据库,具有完整的交互式和HTML报告和处理功能,可了解和调试整个项目的覆盖范围

8、结合HDL Designer和HDL Author进行完整的设计创建,项目管理和可视化功能

ModelSim SE 2020特色

ModelSim SE 2020功能

1、更智能的GUI

智能设计的GUI可以有效利用桌面空间。 ModelSim提供了高度直观的交互式图形元素(窗口,工具栏,菜单等)排列方式,使您可以轻松查看和访问其许多强大功能。 结果便是易于使用且可以快速掌握的功能丰富的GUI。 通过将Tcl用户界面集成到其HDL仿真器中,ModelSim重新定义了仿真的开放性。 Tcl是一种简单但功能强大的脚本语言,用于控制和扩展应用程序。

ModelSim GUI提供高效的设计调试和分析功能以及项目和文件管理。

2、记忆窗

存储器窗口允许直观,灵活地查看和调试设计存储器。 VHDL和Verilog内存是从源中自动提取并在GUI中查看的,从而提供了强大的搜索,填充,编辑,加载和保存功能。内存窗口支持从文件或通过使用恒定,随机和计算值来预加载内存,从而节省了仅加载内存来初始化测试平台部分的耗时步骤。所有功能都可以通过命令行使用,从而可以在脚本中使用它们。

3、波形和结果查看

ModelSim提供了高性能的全功能波形窗口。 Wave窗口提供了用于标记有趣的时间点并测量光标之间的时间距离的光标。波形窗口的内容可以通过强大的虚拟信号定义和分组灵活地设置格式。

在两个仿真结果之间可以轻松进行波形比较。通过用户指定的时间过滤功能,可以轻松处理RTL和门级仿真结果之间的时序差异。

ModelSim提供了独特的WLF管理实用程序(又名WLFMAN),该实用程序允许处理WLF结果文件。这使您可以指定要记录到WLF文件的信息量,并允许您根据信号或时间对现有WLF文件进行子集化。

WLFMAN实用程序可有效管理磁盘空间并提高模拟后调试效率。

4、源窗口模板和向导

使用VHDL和Verilog模板和向导,您可以快速开发HDL代码,而不必记住确切的语言语法。只需单击鼠标,即可使用所有语言结构。易于使用的向导将引导您逐步完成更复杂的HDL块的创建。向导显示了如何创建可参数化的逻辑块,测试台激励和设计对象。源代码窗口模板和向导通过省时的快捷方式使新手和高级HDL开发人员受益。

5、专案经理

项目管理器大大减少了组织文件和库所需的时间。在编译和模拟时,项目管理器会存储每个项目的唯一设置,使您可以从上次中断的地方重新启动模拟器。仿真属性使您可以轻松地使用预先配置的参数进行仿真。

6、代码覆盖率

设计验证的完整性可以通过代码覆盖率来衡量。 ModelSim支持语句,表达式,条件,切换和FSM覆盖范围。代码覆盖率指标是从HDL源自动得出的。由于创建了许多可配置和可重复使用的设计模块,并且并非所有指标都很有价值,因此可以使用代码覆盖率浏览器中指定的源代码实用程序和排除项来灵活管理代码覆盖率指标。

7、基于断言的验证

ModelSim提供了一个全面的,基于标准的基于断言的验证(ABV)解决方案,可以选择SystemVerilog断言(SVA),属性规范语言(PSL)或两者。

8、强大的,具有成本效益的模拟

解决方案ModelSim提供了功能强大的仿真解决方案,非常适合验证中小型FPGA设计;尤其是具有复杂任务关键功能的设计。

ModelSim SE 2020安装教程

1、下载解压,得到ModelSim SE 2020.4 64位原程序和patch破解文件夹;

ModelSim SE 2020安装教程1

2、首先双击文件“modelsim-win64-2020.4-se.exe”安装软件,按默认路径安装即可;

ModelSim SE 2020安装教程2

3、注意:这一步不要安装Key Driver;

ModelSim SE 2020安装教程3

4、软件成功安装后,先不运行软件,打开crack 1破解文件夹,将MentorKG.exe、MGLS.DLL和patch_dll.bat复制到安装目录下的win64文件夹中;

默认路径:C:\modeltech64_2020.4\win64

ModelSim SE 2020安装教程4

5、管理员身份运行patch_dll.bat,将生成的许可证另存为LICENSE.TXT,保存到软件安装目录下;

ModelSim SE 2020安装教程5

6、接下来,创建系统环境变量:

变量名:LM_LICENSE_FILE

变量值:LICENSE.TXT的路径。

默认为:C:\modeltech64_2020.4\win64\LICENSE.TXT

ModelSim SE 2020安装教程6

7、至此,软件成功激活,以上就是ModelSim SE 2020.4破解版的详细安装教程,希望对用户有帮助。

ModelSim SE 2020安装教程7

ModelSim SE 2020仿真方法

1、单击File,将鼠标移至New,选择Project...,出现如图所示的对话框。上边的红框填写你要建立的工程名称,比如我们要点亮一个led,就建立一个工程名为led的工程。下边的红框是指你要把工程建立在什么位置。其余的选项默认即可。点击ok。

ModelSim SE 2020仿真方法1

ModelSim SE 2020仿真方法2

2、接下来,点击Create new File,在弹出的对话框中填写文件名,比如led.v,add file as type,选择verilog,点击ok。因为我们要用Modelsim仿真,一般要有测试文件,再添加一个即可,和添加led.v步骤一样。我起名为tb_led.v。

ModelSim SE 2020仿真方法3

ModelSim SE 2020仿真方法4

3、分别双击文件名,进入编辑模式。编写代码。保存后,将鼠标放在其中一个文件,右键,compile->compile all。编译通过后,会提示

# Compile of tb_led.v was successful.

# 2 compiles, 0 failed with no errors.

就可以进行仿真了。

ModelSim SE 2020仿真方法5

ModelSim SE 2020仿真方法6

4、点击Simulate->start Simulation。记得把optimizationqian2的勾选去掉,点击work前的加号,选择测试文件,比如我写的是tb_led.v。ok。

ModelSim SE 2020仿真方法7

ModelSim SE 2020仿真方法8

出现wave,现在把波形加进去。在 tb_led文件右键 Add->To wave ->All items in design。开始运行仿真,点击像一本书旁边带双箭头的图标。再点击放大镜可以调节波形的时间轴的坐标。最后的仿真波形如图。希望对你们有帮助。

ModelSim SE 2020仿真方法9

ModelSim SE 2020仿真方法10

软件截图
ModelSim仿真软件 v2020.4 激活版 (部分资源需前往网盘或官网获取)